cpld吧
关注: 1,346 贴子: 5,209

  • 目录:
  • 个人贴吧
  • 0
    产品详情:MAX V系列低成本和低功耗CPLD提供更大的密度和每占地面积的I/O。MAX V器件的密度从40到2210个逻辑元件(32到1700个等效宏单元)和多达271个I/O,为I/O扩展、总线和协议桥接、电源监控和控制、FPGA配置和模拟IC接口等应用提供可编程解决方案。 MAX V器件具有片上闪存、内部振荡器和内存功能。与其他CPLD相比,MAX V cpld的总功耗降低了50%,只需一个电源,可以帮助您满足低功耗设计要求。 (100TQFP)(144TQFP) 5M240ZT100C5N IC CPLD 192MC 7.5NS 100TQFP 可编程类
    xjjhsychen 3-20
  • 2
    AGM Micro 遨格芯 AGM微电子可提供自主产权的可编程IP内核授权(Programmable IP Licensing), 和超低价的 wafer直供。AGM在0.18um,0.13um,0.11um,65nm,55nm,40nm各个工艺节点都有各大Foundry的full-mask tapeout验证和成功客户案例,为IC设计公司提供可编程配置模块或超低成本 wafer,大大提高了商业产品的灵活性,并降低流片风险。 AGM同样提供所有产品的开发套件及编程工具,为客户提供完备的开发环境并提供技术支持。AGM以商业高量市场为导向,提供国产自主知识产权的
    znx100 5-29
  • 3
    规模不要大,几百个可用门就可以了,IO口至少要有60个,封装一般是TQFP100,我找了好久都没找到,EPM7128可用门有上千,太多了。
    firseve 4-27
  • 0
    EPM7128STC100-10解密CPLD芯片解密成功!#深圳凯基迪科技# EPM7128是可编程的大规模逻辑器件,为ALTERA公司的MAX7000系列产品,具有高阻抗、电可擦等特点,可用门单元为2500个,管脚间最大延迟为5ns,工作电压为+5V。 基本参数 可编程逻辑类型:PLD逻辑 芯片功能:Programmable ISP 逻辑芯片基本号:7128 输入/输出线数:84 宏单元数:128 频率:95.2MHz 输入/输出接口标准:TTL, CMOS 电源电压 最小:3V 电源电压 最大:3.6V 封装类型:TQFP 工作温度范围:0°C to +85°C SVHC(高
  • 0
    求助 mcu在线加载cpld 有偿
  • 1
    群晖插错电源,烧了2个原件。其中这个大的是5m80ze64c5n,资料说是cpld。想求助下吧里的大神,能不能买一个一样的焊上去直接用?还是需要编程?
    a5200909 8-10
  • 12
    本开发室长期承接单片机(MCS51、PIC、AVR系列)、ARM、FPGA、DSP系统,数字电路,模拟电路,PCB板,PLC控制改单片机,PLC编程等的开发与设计;主要领域有光传输、医疗、工控、监测、监控、计量、消费电子等。 联系方式: QQ:249627334; MSN:cdmekf@hotmail.com; Email:cdmekf@163.com; 联系电话:13668257671; 联系人:冯工。
    Chip 8-6
  • 2
    有请行业内的高手,分解一下,几个类别的芯片,应用场景或者开发场景的区别
    Chip 8-6
  • 0
    图片里这些引脚约束时的属性需要选吗,不选的话会影响程序下载吗,如果需要该怎么选,萌新求助
    dhhfhjsk 7-15
  • 0
    我司主要从事单片机解密技术研究、单片机加密技术研究、芯片破解研究和单片机防破解的研究,并对IC解密的爱好者和单片机解密公司提供技术支持和技术转让。 公司由一些集成电路设计工程师、集成电路反向工程师和单片机解密爱好者、芯片破解高手发起成立,目前芯片解密行业的很多解密技术都由公司首先研究成功,比如AVR烧断脚的单片机破击,ATMEL的51系列烧断数据脚或者地址线的双管烧断解密,HOLTEK的FLASH单片机解密,SONIX的FLASH芯片解密,
  • 0
    PCB电路板厂家,加急板、大中小批量 阻抗板、HDI盲埋孔板、高频板 陶瓷板、铜基板、软硬结合板生产 SMT贴片插件加工一站式服务 PCB抄板 芯片解密 原理图反推 欢迎合作!QQ:898572068 黄先生 13510282193
  • 3
    我的CPLD芯片为什么总是烧程序不进?各电源脚都是好的。
    Chip 9-16
  • 0
    CPLD和FPGA在程序实现上有啥区别,我将Xilinux410上的协议程序移植一个资源较小的CPLD片子上,布线布不过。目前想从程序写法上解决这个问题。求大神指点。用verilog写的
    故事 9-4
  • 5
    求大神帮忙一个课题 基于CPLD直流电机控制系统的设计
  • 1
    如题 我想参加学校里的CPLD设计竞赛,但还不了解CPLD都有哪些功能,与单片机相比呢?还有,希望提供些做什么的建议,万分感谢!!!
  • 1
    这题有没有回的 各位大佬 实现将12位拨码开关分为2组,每组6位,分别为A和B 按键后,计算A+B的值,将结果显示在数码管上 请设计VERILOG HDL语言源代码实现
  • 0
    测量GPS和恒温晶振的时间间隔
  • 3
    例如44脚,或者32脚。不要1百多脚的
    Chip 9-16
  • 1
    求教 音频解码 无声无显示 只要手摸一下晶振一个脚工作才正常 这个脚电压1.33v 是哪里出问题了谢谢谢谢谢谢高手指导
    99824201 2-25
  • 0
    我在ISE里采用原理图输入,用4只74HC164做的32位移,输入输出放了IO口重新做了命名,在脚位约束里,怎么显示的IO口是164逻辑IC的IO脚,不是自己定义的输入输出口?该怎样处理?
    ljq_kener 1-15
  • 0
    中国的半导体产业起步并不算晚。在那个革命氛围依旧非常浓厚的年代,领导对电子工业非常重视。那几年,中国的半导体每年都有不错的进展。1965年9月,在上海冶金研究所和上海元件五厂共同努力下,抢在位于北京的半导体研究所之前,研制成功了中国第一块集成电路。这个成绩比美国晚7年,和日本相当,比韩国早10年。在2014年6月,国家发布了《国家集成电路产业发展推进纲要》,9月,国家集成电路产业投资基金成立,这就是俗称的“大基金”
  • 1
    哪位大神做过基于cpld的多功能信号发生器设计?
  • 1
    CPLD型号是Xilinx公司的XC2C64A,之前的设计是用LPC4320烧CPLD的,出于成本考虑,想把MCU去了,有没有什么办法可以实现,求大神们知道一下,万分感谢!
  • 1
    有大神能解答一下吗
  • 3
    有大神会做基于CPLD的纳秒延时吗
    正与耳92 10-20
  • 1
    有会用CPLD做交通灯的吗
  • 107
  • 1
    需要cpl,fpga的兄弟可以找我,价格实惠
  • 0
    请教下大家一个问题,我刚学,对仿真Modelsim不太了解,我现在是在Modelism中新建工程后添加了我已存在的的源文件protect.c,编译也通过了,现在我需要弄一个测试文件,我现在不知道测试文件在哪里编写,是在Modelsim中还是在哪里,因为我用的不是quartus软件找不到关联的Modelsim关联的testbench文件,希望大家知道的给小弟我提示下非常感谢,下面的图只有源文件
  • 0
    CPLD各种设计,学习,解答疑惑等,736852107,企鹅
    lgy198612 5-4
  • 0
    CPLD为什么加入晶振后不能下载程序,不加晶振时可以正常下载
  • 0
    老铁们,这个B选项如何计算的啊
  • 621
    代做FPGA项目,毕业设计,课题设计,coursework,eassy,assignment等等! - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 永久联系方式: QQ:1 2 2 4 8 4 8 0 5 2 (本团队为北京地区,北京地区的中大型课题可当面交易) - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
  • 2
    是不是驱动和软件不兼容的问题,电脑是win7,64的
  • 0
    LED汉字滚动显示,把程序下载到板子上,LED点阵全亮,请大神指点问题出在哪啊
    EggLee_ 6-6
  • 0
    刘宇电 2017-05
    使用Verilog HDL设计一个带有异步复位控制端的23进制计数器,其中复位信号为高电平
    刘宇电 5-31
  • 4
    春暖花开,阳光明媚,连日上升的温度令人感受到融融暖意。西安智多晶微电子有限公司,一支来自两岸三地的团队、一群勇于拼搏的“海归”、一间10平方米的实验室,正上演着中国“制造”到中国“智造”的嬗变。备受瞩目的“中国芯”将从这里走向世界。 “无论身在何处,都忘不了自己是中国人。”在美国硅谷打拼多年,西安智多晶微电子有限公司董事长贾红却从未忘记自己身上流淌的中国血脉。身为一个“60后”,“知天命”年纪的他找到了
    太上皇 4-26
  • 0
    在下新手,最近在用CPLD实现一个数据采集系统,Verilog模块已经在Modulesim里面仿真过,下线仿真没有任何问题,但是将程序下载到CPLD中功能不实现,之前了解到FPGA可以用过Quartus软件里自带的signaltap进行线上调试,但是这个软件不知车CPLD芯片,想问问各位前辈。 1.在线调试CPLD有什么好用的软件推荐,简单点的? 2.各位在调试的时候线下仿真没有问题之后,在线仿真功能不实现一般都是因为什么问题? 多谢各位大神,好人一生平安!
  • 0
    cpld的相关项目设计协助,qq:2356650356。
    lgy198612 3-2
  • 7
    最近在做毕设,老师查一下MAX7000现在的情况咋样了? 问一下各位大神,现在MAX7000系列停产了吗?如果停产了有什么类似的小系统的能够用来替代MAX7000系列的芯片?
  • 9
    老师让设计一个作品,楼主不太会,求大神给写下程序,最好是用到VERILOG HDL FILE和BLOCK DIAGRAM那两个,一个是编写代码的一个是画图的,老师说代码能转化成图,希望大神能明白我说的。

  • 发贴红色标题
  • 显示红名
  • 签到六倍经验

赠送补签卡1张,获得[经验书购买权]

扫二维码下载贴吧客户端

下载贴吧APP
看高清直播、视频!

本吧信息 查看详情>>

会员: 会员

目录: 个人贴吧